You are not logged in.

#1 2011-01-11 19:33:02

piotroxp
Member
Registered: 2008-08-04
Posts: 66

XILINX WebPack ISE

Hi community,
I've recently installed the latest Xilinx ISE WebPack in order to practice my VHDL. The problem I've encountered and did not solve yet is getting the simulation to work.

At first, the project files did not evaluate (or compile - using XST) at all - what I''ve found out was that 'fuse', an internal program, was missing a strange 'stdc' dependency.

After symlinking 'stdc' to gcc, I was able to successfully evaluate my project. Now, after the successfull compilation, the same problem occurs but in different conditions - the project does not link it's files because of a missing dependency called '-lgcc'. I've tried to symlink libgcc_s.so to libgcc.so, but it did not solve the problem. I've also tried running 32 bit binaries - it didn't solve the problem. The fuse -verbosed log states, that the symlinked gcc is not compatible with the current version of software.

The official documentation states, that only RH and one other linux is supported. But I'm looking for a way to make it work nonetheless smile

I'm using arch x86_64 on an athlon II x4 cpu, kernel 2.6.36-ARCH. ISE WebPack ver 12.4.

cheers !


I invented EM Field Patterns and fixed Feynmann's Diagrams so they are physical.

Offline

#2 2011-01-12 14:47:34

Cyrusm
Member
From: Bozeman, MT
Registered: 2007-11-15
Posts: 1,053

Re: XILINX WebPack ISE

I'm not sure how to help with the problems that you are having with xilinx ISE (but good to know, I was about to install it this afternoon. I'll let you know how it goes.)  however you could try the alternative approach and compile/simulate your vhdl code using GHDL.  It doesn't have many of the nice features and extras that the xilinx suite is going to have, essentially it will compile the code, run the simulation, and output to a file.  I recommend GTKWave for viewing the output waveforms.


Hofstadter's Law:
           It always takes longer than you expect, even when you take into account Hofstadter's Law.

Offline

#3 2011-01-12 22:41:10

piotroxp
Member
Registered: 2008-08-04
Posts: 66

Re: XILINX WebPack ISE

I've heard a lot about GHDL. The reason why I haven't used it yet is... hmmm no particular reason...

So I guess I'll have a look at it someday in the near future. Right now I'm messing around with xilinx , doing just what you said (compiling , fusing, isiming it seperately). Will update if something satisfying happens.

Cheers


I invented EM Field Patterns and fixed Feynmann's Diagrams so they are physical.

Offline

#4 2011-01-19 11:50:35

cryptix
Member
From: Hamburg, Germany
Registered: 2008-02-15
Posts: 11
Website

Re: XILINX WebPack ISE

Hi,

we had the same problem in our university.
I'm not sure why but the simulation compilation fails because of the libstdc++.so.6 that ISE ships with.
The simulator starts working when you link the lib to our system one, like doing so:

for 32bit:
ln -s /usr/lib/libstdc++.so.6 /opt/Xilinx/12.4/ISE_DS/ISE/lib/lin/libstdc++.so.6

for 64bit:
ln -s /usr/lib/libstdc++.so.6 /opt/Xilinx/12.4/ISE_DS/ISE/lib/lin64/libstdc++.so.6

Hope this helps and have fun with vhdl. smile


kind regards,

cryptix

Last edited by cryptix (2011-01-19 12:01:25)

Offline

#5 2011-01-20 23:01:26

piotroxp
Member
Registered: 2008-08-04
Posts: 66

Re: XILINX WebPack ISE

Updating you guys on the topic.

Curious enough, the simulation components compile, but the overall sim fails to link.

Here's what I encounter:

Waiting for 1 sub-compilation(s) to finish...
Linking with command:
"/usr/bin/gcc" -Wa,-W  -O  -m32  -o "isim/generator_isim_beh.exe.sim/generator_isim_beh.exe" "isim/generator_isim_beh.exe.sim/work/generator_isim_beh.exe_main.lin.o"  "isim/generator_isim_beh.exe.sim/work/p_3647430093.lin.o" "isim/generator_isim_beh.exe.sim/work/a_0540673839_4111029205.lin.o" "isim/generator_isim_beh.exe.sim/work/a_4275653624_3378613452.lin.o" "isim/generator_isim_beh.exe.sim/work/a_2138701171_1138913674.lin.o" "isim/generator_isim_beh.exe.sim/work/a_2147801477_2378643407.lin.o" 
"/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin/std/STD.lin.a" "/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin/ieee/IEEE.lin.a" "/home/piotro/Xilinx/ISE_DS/ISE/./lib/lin/libhsimengine.so"
/usr/bin/ld: skipping incompatible /usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/libgcc.a when searching for -lgcc
/usr/bin/ld: skipping incompatible /usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/libgcc.a when searching for -lgcc
/usr/bin/ld: i386:x86-64 architecture of input file `/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/crtbegin.o' is incompatible with i386 output
/usr/bin/ld: i386:x86-64 architecture of input file `/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/crtend.o' is incompatible with i386 output
collect2: ld returned 1 exit status
ERROR:Simulator:861 - Failed to link the design

Process "Simulate Behavioral Model" failed

Of course, I've also tried extrapolating cryptic's advice and tried to symlink ld, but it yielded no results.

I'm also curious as to whether its the proper ISE version I'm using - it seems that it tries to output i386 on a x86_64 version. It's the first time I've encountered the last two lines of the error message, after symlinking gcc to both the /lin and /lin64 bin directories of ise.

Any thoughts?

--update: 27 Feb 2011

Hey community, I've had a little time to meddle with Xilinx on Arch. This is the overall log I've been served with. What bothers is bolded out.

Started : "Simulate Behavioral Model".

Determining files marked for global include in the design...
Running fuse...
Command Line: fuse -intstyle ise -incremental -o /home/piotro/Xilinx/Projects/Lasery/Power_isim_beh.exe -prj /home/piotro/Xilinx/Projects/Lasery/Power_beh.prj work.Power {-mt off -v 1}
Running: /home/piotro/Xilinx/ISE_DS/ISE/bin/lin64/unwrapped/fuse -intstyle ise -incremental -o /home/piotro/Xilinx/Projects/Lasery/Power_isim_beh.exe -prj /home/piotro/Xilinx/Projects/Lasery/Power_beh.prj work.Power -mt off -v 1 
ISim M.81d (signature 0x9ca8bed6)
Turned off multi-threading for compilation
Determining compilation order of HDL files
The vhdl library search path for library \"std\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/std\"
The veri library search path for library \"std\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/std\"
The vhdl library search path for library \"ieee\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/ieee\"
The veri library search path for library \"ieee\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/ieee\"
The vhdl library search path for library \"ieee_proposed\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/ieee_proposed\"
The veri library search path for library \"ieee_proposed\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/ieee_proposed\"
The vhdl library search path for library \"vl\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/vl\"
The veri library search path for library \"vl\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/vl\"
The vhdl library search path for library \"synopsys\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/synopsys\"
The veri library search path for library \"synopsys\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/synopsys\"
The vhdl library search path for library \"simprim\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/simprim\"
The veri library search path for library \"simprim\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/simprim\"
The vhdl library search path for library \"unisim\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/unisim\"
The veri library search path for library \"unisim\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/unisim\"
The vhdl library search path for library \"unimacro\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/unimacro\"
The veri library search path for library \"unimacro\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/unimacro\"
The vhdl library search path for library \"aim\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/aim\"
The veri library search path for library \"aim\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/aim\"
The vhdl library search path for library \"cpld\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/cpld\"
The veri library search path for library \"cpld\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/cpld\"
The vhdl library search path for library \"pls\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/pls\"
The veri library search path for library \"pls\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/pls\"
The vhdl library search path for library \"xilinxcorelib\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/xilinxcorelib\"
The veri library search path for library \"xilinxcorelib\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/vhdl/hdp/lin64/xilinxcorelib\"
The vhdl library search path for library \"aim_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/aim_ver\"
The veri library search path for library \"aim_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/aim_ver\"
The vhdl library search path for library \"cpld_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/cpld_ver\"
The veri library search path for library \"cpld_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/cpld_ver\"
The vhdl library search path for library \"simprims_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/simprims_ver\"T
he veri library search path for library \"simprims_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/simprims_ver\"
The vhdl library search path for library \"unisims_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/unisims_ver\"
The veri library search path for library \"unisims_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/unisims_ver\"
The vhdl library search path for library \"uni9000_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/uni9000_ver\"
The veri library search path for library \"uni9000_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/uni9000_ver\"
The vhdl library search path for library \"unimacro_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/unimacro_ver\"
The veri library search path for library \"unimacro_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/unimacro_ver\"
The vhdl library search path for library \"xilinxcorelib_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/xilinxcorelib_ver\"
The veri library search path for library \"xilinxcorelib_ver\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/xilinxcorelib_ver\"
The vhdl library search path for library \"secureip\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/xip/secureip\"
The veri library search path for library \"secureip\" is now \"/home/piotro/Xilinx/ISE_DS/ISE/verilog/hdp/lin64/xip/secureip\"
The vhdl library search path for library \"work\" is now \"/home/piotro/Xilinx/Projects/Lasery/isim/work\"
The veri library search path for library \"work\" is now \"/home/piotro/Xilinx/Projects/Lasery/isim/work\"

-- Dumping Relevant Parameters
XILINX = /home/piotro/Xilinx/ISE_DS/ISE/
PATH = /home/piotro/Xilinx/ISE_DS/ISE//bin/lin64:/home/piotro/Xilinx/ISE_DS/common/bin/lin64:/home/piotro/Xilinx/ISE_DS/PlanAhead/bin:/home/piotro/Xilinx/ISE_DS/ISE/bin/lin64:/home/piotro/Xilinx/ISE_DS/ISE/sysgen/util:/home/piotro/Xilinx/ISE_DS/EDK/bin/lin64:/usr/local/bin:/usr/bin:/bin:/usr/local/sbin:/usr/sbin:/sbin:/usr/bin/core_perl
LD_LIBRARY_PATH = /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64:/home/piotro/Xilinx/ISE_DS/common/lib/lin64:/home/piotro/Xilinx/ISE_DS/ISE/lib/lin64:/home/piotro/Xilinx/ISE_DS/EDK/lib/lin64
PWD = /home/piotro
CWD = /home/piotro/Xilinx/Projects/Lasery
GCC = /usr/bin/gcc
-- Done dumping Relevant Parameters

-- Dumping System Information
sysname = Linux
release = 2.6.37-ARCH
version = #1 SMP PREEMPT Fri Feb 18 18:32:16 CET 2011
machine = x86_64
ram = 2056088 KB
-- Done dumping System Information

-- Dumping Loaded Modules

/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libCit_Core.so                      ;everything in this particular column 
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libICR.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libMiniZip.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libPersonalityModule.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libPort_Std.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libPortability.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libPrjrep_Clientac.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libStaticFileParsers.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libThread.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libUtilities.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libVrfc_Verific.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libVrfc_Vhdl_Sort.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libZlib.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_bzip2-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_date_time-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_filesystem-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_iostreams-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_program_options-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_regex-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_system-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_thread-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libboost_zlib-gcc41-mt-p-1_38.so.1.38.0
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libisl_iostreams.so
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstlport.so.5.1
/home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libxercesc.so
/home/piotro/Xilinx/ISE_DS/ISE/lib/lin64/libUtilC_MessageDispatcher.so
/lib/libc.so.6
/lib/libdl.so.2
/lib/libm.so.6
/lib/libpthread.so.0
/lib/libuuid.so.1
/lib64/ld-linux-x86-64.so.2
/usr/lib/libgcc_s.so.1
-- Done dumping Loaded Modules

-- Dumping library mapping
aim=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/aim                                       ;everything in this column
aim_ver=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/aim_ver
cpld=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/cpld
cpld_ver=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/cpld_ver
ieee=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/ieee
ieee_proposed=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/ieee_proposed
pls=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/pls
secureip=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/xip/secureip
simprim=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/simprim
simprims_ver=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/simprims_ver
std=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/std
synopsys=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/synopsys
uni9000_ver=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/uni9000_ver
unimacro=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/unimacro
unimacro_ver=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/unimacro_ver
unisim=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/unisim
unisims_ver=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/unisims_ver
vl=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/vl
work=isim/work
xilinxcorelib=/home/piotro/Xilinx/ISE_DS/ISE/./vhdl/hdp/lin64/xilinxcorelib
xilinxcorelib_ver=/home/piotro/Xilinx/ISE_DS/ISE/./verilog/hdp/lin64/xilinxcorelib_ver
-- Done dumping library mapping

Parsing VHDL file "/home/piotro/Xilinx/Projects/Lasery/Power.vhd" into library work
Parsing entity <Power>.
Parsing architecture <Power_Ar> of entity <power>.
Starting static elaboration
Executing Power(Power_Ar)
Completed static elaboration
Fuse Memory Usage: 70156 KB
Fuse CPU Usage: 110 ms
Using precompiled package standard from library std
Using precompiled package std_logic_1164 from library ieee
ICR Memory Use: 4294967295 bytes
Compiling architecture power_ar of entity power - a_2772990413_0562378753
ICR Memory Use: 4294967295 bytes
Compiling isim/Power_isim_beh.exe.sim/work/a_2772990413_0562378753.c to isim/Power_isim_beh.exe.sim/work/a_2772990413_0562378753.lin64.o with command:
"/usr/bin/gcc" -Wa,-W  -O  -fPIC  -m64  -c -o "isim/Power_isim_beh.exe.sim/work/a_2772990413_0562378753.lin64.o" -I"/home/piotro/Xilinx/ISE_DS/ISE/./data/include" "isim/Power_isim_beh.exe.sim/work/a_2772990413_0562378753.c"
/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/cc1: /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6: version `GLIBCXX_3.4.14' not found (required by /usr/lib/libppl_c.so.4)           HERE!!
/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/cc1: /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6: version `GLIBCXX_3.4.11' not found (required by /usr/lib/libppl_c.so.4)
/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/cc1: /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6: version `GLIBCXX_3.4.9' not found (required by /usr/lib/libppl_c.so.4)
/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/cc1: /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6: version `GLIBCXX_3.4.14' not found (required by /usr/lib/libppl.so.9)
/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/cc1: /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6: version `GLIBCXX_3.4.11' not found (required by /usr/lib/libppl.so.9)
/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/cc1: /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6: version `GLIBCXX_3.4.9' not found (required by /usr/lib/libppl.so.9)
/usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/cc1: /home/piotro/Xilinx/ISE_DS/ISE//lib/lin64/libstdc++.so.6: version `GLIBCXX_3.4.11' not found (required by /usr/lib/libgmpxx.so.4)
FATAL_ERROR:Simulator:Fuse.cpp:497:1.124.4.5 - Failed to compile generated C file isim/Power_isim_beh.exe.sim/work/a_2772990413_0562378753.c   Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.
FATAL_ERROR:Simulator:Fuse.cpp:497:1.124.4.5 - Failed to compile generated C file isim/Power_isim_beh.exe.sim/work/a_2772990413_0562378753.c   Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.

Process "Simulate Behavioral Model" failed

Last edited by piotroxp (2011-02-27 08:42:24)


I invented EM Field Patterns and fixed Feynmann's Diagrams so they are physical.

Offline

#6 2011-02-27 11:32:58

piotroxp
Member
Registered: 2008-08-04
Posts: 66

Re: XILINX WebPack ISE

Okay the only way to get attention was to bump the topic smile Sorry

...
/usr/bin/ld: skipping incompatible /usr/lib/gcc/x86_64-unknown-linux-gnu/4.5.2/libgcc.a when searching for -lgcc
/usr/bin/ld: cannot find -lgcc
collect2: ld returned 1 exit status
ERROR:Simulator:861 - Failed to link the design

What I did - I've installed a 32bit version of the WebPack. It compiles properly, but at the end it serves me with the above mentioned error.

Last edited by piotroxp (2011-02-28 08:32:53)


I invented EM Field Patterns and fixed Feynmann's Diagrams so they are physical.

Offline

#7 2011-02-28 00:56:21

catweazle
Member
From: Germany
Registered: 2006-12-14
Posts: 24

Re: XILINX WebPack ISE

piotroxp,

are you sure you replaced the Xilinx-provided libstdc++.so.6 in the lin64 subdirectory with the one from /usr/lib/, as suggested by cryptix? I have only version 10.1 of the ISE installed, but if the libstdc++.so.6 that ships with ISE 12.1 is the same as the one in 10.1, I would say you need to replace that shared object. That did the trick for me anyway. Run the following command on the Xilinx provided stdlibc++.so.6 and on the one in /usr/lib/ and you'll see the difference (compare to your error messages)

strings libstdc++.so.6 | grep GLIBCXX

Good luck!

Offline

#8 2011-04-26 00:29:06

gndrix
Member
From: México
Registered: 2011-04-26
Posts: 13

Re: XILINX WebPack ISE

Thanks a lot to cryptix, your advice did the trick for me.

Last edited by gndrix (2011-04-26 00:29:35)

Offline

#9 2011-05-16 00:23:18

forsakenedzero
Member
Registered: 2011-03-29
Posts: 19

Re: XILINX WebPack ISE

hey everyone:
when i try to run the ISE simulation it always ends up giving me this error
"FATAL_ERROR:Simulator:Fuse.cpp:209:1.124.4.5 - Failed to compile one of the generated C files. Please recompile with -mt off -v 1 switch to identify which design unit failed.  Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support."
i tried the above solution but , it showed tht the file already exists:
"ln -s /usr/lib/libstdc++.so.6 /opt/Xilinx/12.4/ISE_DS/ISE/lib/lin64/libstdc++.so.6"
"ln: failed to create symbolic link `/opt/Xilinx/12.4/ISE_DS/ISE/lib/lin64/libstdc++.so.6': File exists"
thank you guys for your support smile

Offline

#10 2011-11-05 22:47:23

jossx
Member
Registered: 2011-11-05
Posts: 1

Re: XILINX WebPack ISE

Try this:

sudo ln -sf /usr/lib/i386-linux-gnu/libstdc++.so.6 /opt/Xilinx/12.1/ISE_DS/ISE/lib/lin/libstdc++.so.6

You have to use f to force it. I do not know why. I had the same problem.

Offline

Board footer

Powered by FluxBB